Power conscious test synthesis and scheduling for BIST RTL data paths

نویسندگان

  • Nicola Nicolici
  • Bashir M. Al-Hashimi
چکیده

Previous research has outlined that power dissipated during test application is substantially higher than during functional operation, which leads to loss of yield and decreases reliability. This paper shows for the first time how power is minimized in BIST RTL data paths by using power conscious test synthesis and test scheduling. According to the necessity for achieving the required test efficiency power dissipation is classified into necessary and useless power dissipation. According to the occurrence during the testing process power dissipation is classified into test application and shifting power dissipation. The effect of test synthesis and scheduling on power dissipation is analyzed and power minimization is achieved in two steps. Firstly, during the testable design space exploration only power conscious test synthesis moves are accepted leading to minimization of useless power dissipation. Secondly, module selection during power conscious test scheduling satisfies power constraints while reducing test application time. Experimental results using generic power models show savings up to 28% in test application power dissipation and up to 29% in shifting power dissipation.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Low Power Test Compatibility Classes: Exploiting Regularity for Simultaneous Reduction in Test Application Time and Power Dissipation

Traditional DFT methodologies increase useless power dissipation during testing and are not suitable for testing low power VLSI circuits leading to lower reliability and manufacturing yield. Traditional test scheduling approaches based on fixed test resource allocation decrease power dissipation at the expense of higher test application time. On the one hand it was shown that power conscious te...

متن کامل

BIST hardware synthesis for RTL data paths based on testcompatibility classes

New BIST methodology for RTL data paths is presented. The proposed BIST methodology takes advantage of the structural information of RTL data path and reduces the test application time by grouping same-type modules into test compatibility classes (TCCs). During testing, compatible modules share a small number of test pattern generators at the same test time leading to significant reductions in ...

متن کامل

Testability Trade-Offs for BIST Data Paths

Power dissipation during test application is an emerging problem due to yield and reliability concerns. This paper focuses on BIST for RTL data paths and discusses testability trade-offs in terms of test application time, BIST area overhead and power dissipation.

متن کامل

RTL Level Preparation of High-Quality/Low-Energy/Low-Power BIST

While high-quality BIST (Built-In Self Test) based on deterministic vectors often has a prohibitive cost, pseudorandom based BIST may lead to low DC (Defects Coverage) values, requiring however very long test sequences with the corresponding energy waste and possible overheating due to extra switching activity caused by test vectors. The purpose of this paper is to discuss how a recently propos...

متن کامل

On High-Quality, Low Energy BIST Preparation at RT-Level

The purpose of this paper is to discuss how a recently proposed RT (Register Transfer) Level test preparation methodology can be reused to drive innovative LowEnergy (LE) / Low-Power (LP) BIST solutions for digital SOC (System on a Chip) embedded cores. RTL test generation is carried out through the definition of a reduced set of masks, forcing few "care" bits, and leading to a high correlation...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2000